Directed self assembly lithography pdf free

This paper provides an overview of directed self assembly dsa options that exhibit potential for enabling extensible highvolume patterning of nanoelectronics devices. Directed block copolymer selfassembly for nanoelectronics. We developed a novel simple sub15 nm lineandspace ls patterning process, the coordinated. Directed self assembly dsa is one of the promising candidates for nextgeneration lithography. The combination of lithography and self assembly provides a powerful means of organizing solutionsynthesized nanostructures for a wide variety of applications. Insertion of a graphoepitaxy directed self assembly process as a.

Driven by the more integrated, much smaller and higher performance of the electronics, however, the industry standard polystyreneblockpolymethyl methacrylate psbpmma in dsa. A simulation analysis on defect annihilation in directed. It covers the basic background of dsal technology, physical design optimizations such as placement and redundant via insertion, and dsal mask synthesis as well as its verification. Driven by the more integrated, much smaller and higher performance of the electronics, however, the industry standard polystyreneblockpolymethyl methacrylate psbpmma in dsa strategy. Assembly of heterobimetallic fem m pd, pt complexes for magnetic patterning. Directed self assembly, next generation lithography, arf extension, pattern repair 1. This video provides an overview of the directed self assembly of block copolymers used in lithography of the semiconductor industry. Filtration on block copolymer solution used in directed. Technological strategies for selfassembly of psbpdms in cylindrical sub10 nm nanostructures for lithographic applications tommaso jacopo giammariaa,b, michele lausa and michele peregob adipartimento di scienze e innovazione tecnologica disit, universita del piemonte orientale a. Porous materials are useful for membranes, filters, energy conversion, and catalysis. In directed self assembly lithography dsal, an intercell cluster of contacts, which crosses the boundary of cells, is more likely to cause patterning failure because corresponding guide pattern gp has not been verified beforehand. Directed selfassembly dsa dsa is a bridge between topdown and bottomup patterning can leverage existing patterning methods two possible goals for dsa.

As the templates are patterned by traditional lithography, their shapes may vary due to the process variations, which will ultimately affect the contactsvias even for the same type of template. Directed selfassembly of block copolymer films on atomically. Monodisperse suspensions of polystyrene ps nanospheres nss deposited on a substrate form colloidal crystals consisting in single or multiple layers, exhibiting hexagonal closepacked hcp symmetry. Epitaxy is a widely used method to grow highquality crystals. The use of spontaneous selfassembly as a lithography and external field free which means to construct wellordered often intriguing structures has received much attention for its ease of organizing materials on the nanoscale into ordered structures and producing complex, largescale structures with small feature sizes. Block copolymers and conventional lithography sciencedirect. Directed block copolymer selfassembly implemented via. Study of the stability of longrangeordered lamellar structures for directed selfassembly lithography, performed using dissipative particle dynamics. Selfassembly is a process which is easily influenced by external parameters. Aug 16, 2016 directed self assembly of block copolymers is a scalable method to fabricate wellordered patterns over the wafer scale with feature sizes below the resolution of conventional lithography.

Tkachenko examiners and topic approved by the faculty council of the faculty of natural sciences on 4th of june 2014. Pattern scaling with directed self assembly through. Directed self assembly dsa is an emerging technology that has the ability to substantially improve lithographic manufacturing of semiconductor devices. A hybrid strategy uses lithography as a means to regulate self assembly processes. Their utility often depends on the ability to finely control both the pore sizes and their connectivity.

Physical design and mask synthesis for directed selfassembly. Nanospheres lithography nsl is a manufacturing technique based on the self assembly sa process of colloidal spheres. Spontaneous selfassembly the use of spontaneous selfassembly as a lithography and external. Chemoepitaxy is a method of directed self assembly dsa that uses preferential pinning stripes to guide the bcp. Directed assembly or more specifically directed self assembly, can produce a high pattern resolution 10 nm with high efficiency and compatibility. Directed self assembly, which integrates the bcp self assembly with the traditional lithography processes, has been developed to achieve oriented. Introduction the semiconductor industry has been developing the technologies and has been extended. Various advanced directed self assembly approaches are examined, in which block copolymer self assembly is synergistically integrated with conventional photolithography, such as arf lithography or iline lithography, via either epitaxial self assembly or the graphoepitaxy principle.

To date, the most significant impact of dsa has been for the fabrication of nanoimprint master molds for bitpatterned magnetic storage media. Mar 27, 2017 directed self assembly dsa of the domain structure in block copolymer bcp thin films is a promising approach for sub10nm surface patterning. These objectives correspond to those of directed self assembly dsa, and many efforts have been devoted to developing original methods for controlled self assembly. Filtration on block copolymer solution used in directed self assembly lithography toru umeda, tomoyuki takakura and shuichi tsuzuki nihon pall ltd. Pdf a simulation study on defectivity in directed self. Self assembling materials spontaneously form structures at length scales of interest in nanotechnology. Metrology for directed selfassembly block lithography. Aug 15, 2008 selfassembling materials spontaneously form structures at length scales of interest in nanotechnology. Deepuv photoinduced chemical patterning at the micro and.

Multiple lithography method for directed materials assembly. Towards reliable directed selfassembly lithography for. Sis is an existing technique, used in directed self assembly dsa and now applied in euv lithography, in which the photoresist is infiltrated with an inorganic element to make it harder and more. Create longrange order in the self assembled structures align structures to existing patterns on the substrate two approaches to dsa fieldguided self assembly. Chengqing wang1, gila stein2, gus bosse1, wenli wu1 1 polymers division, nist, gaithersburg, md 2 dept. Physical design and mask synthesis for directed self. Directed self assembly dsa is one of the leading candidates for next generation lithography for the semiconductor and data storage industries. Multiple patterning or multipatterning is a class of technologies for manufacturing integrated circuits ics, developed for photolithography to enhance the feature density. Directed self assembly dsa has the potential to extend scaling for both linespace and hole patterns. The feature sizes are determined by chemistry through the lengths of the molecules. A functional directed assembly process is outlined in fig. Pdf lithographicallydirected selfassembly of nanostructures. It uses block copolymers containing two polymers that are microphase separated and self assemble to create structures at nanoscale when annealed2.

We illustrate a design technology cooptimization dtco methodology and two test cases applying. The combination of lithography and selfassembly provides a powerful means of organizing solutionsynthesized nanostructures for a wide variety of applications. A simulation analysis on defect annihilation in directed self assembly lithography katsuyoshi kodera, hideki kanai, yuriko seino, hironobu sato, yusuke kasahara, katsutoshi kobayashi, hiroshi kubota, naoko kihara, yoshiaki kawamonzen, shinya minegishi, ken miyagi, toshikatsu tobana, masayuki shiraishi, satoshi nomura and tsukasa azuma. Directed selfassembly of block copolymers on chemical. Directed assembly of block copolymers using chemical surface patterns, however, has recently been improved to the point where it is now a promising approach for nanolithography11, 73, 74, 75, 76. Hertta karjalainen combining directed selfassembly of block copolymers with soft lithography master of science thesis examiners. Block copolymer selfassemblydirected singlecrystal homo. Such techniques, which lie at the crossroads where synthesis and. In this method, topdown lithography defines the pattern placement, and c96 self assembly. Approach is possibly the only viable method to produce sub22 nm based integrated circuits without the use of directed self assembly. Yet selfassembly has the advantage that a large variety of shapes and functions on many length scales can be obtained. Sub10nm patterning via directed selfassembly of block. These socalled directed assembly techniques provide a promising alternative in that lithographicallyde. However, when using dsa in high volume manufacturing, one must have a way to quantify the degree of order of linespace patterns formed by dsa in order to reduce defect.

Directed self assembly dsa dsa is a bridge between topdown and bottomup patterning can leverage existing patterning methods two possible goals for dsa. In the particular case of block copolymers, the thermodynamic driving forces for self assembly are small, and lowenergy defects can get easily trapped. Lithographically directed self assembly of nanostructures 34 j v s tbm i c r o e l e c t r o n i c sa n d nanometer structures surface energies of the liquid. Directed selfassembly of block copolymers for nano. Business economics and management california institute of technology, 2012 submitted to the department of electrical engineering and computer science in partial fulfillment of the requirements for the degree of. The conventional organicorganic dsa materials such as polystyreneblockmethyl methacrylate psbpmma have been extensively studied, however, the low etch contrast between two blocks. Widerange directed selfassembly lithography enabling.

This book discusses physical design and mask synthesis of directed self assembly lithography dsal. Templatepolymer commensurability and directed self. The more tolerant range of pitch compression is explained. In dsa, copolymer materials self assemble to form nanoscale resolution patterns on the semiconductor substrate. To achieve this goal, largescale templates, with different size and shape, were generated by direct laserwriter lithography over square millimetre areas. A simulation study on defectivity in directed selfassembly lithography article pdf available in journal of photopolymer science and technology 285. Find support for a specific problem on the support section of our website. Houston, houston, tx line edge roughness of directed self assembly pspmma block copolymers a possible candidate for future lithography. Create longrange order in the selfassembled structures align structures to existing patterns on the substrate two approaches to dsa fieldguided selfassembly. Lithographically directed selfassembly of nanostructures. A simulation analysis on defect annihilation in directed self. Aware placement dsaaware routing dsaaware mask optimization dsaaware opc dsa verification multipatterning with dsa inverse dsa nanolithography computational. One of the key challenges in the field of inorganic solids is the development of epitaxial singlecrystal nanostructures.

Technology path nding for directed selfassembly for via. The directed self assembly dsa of block copolymers has attracted a great deal of interest due to its potential applications in sub10 nm lithography. Strategies for integration of directed selfassembly with. Directed self assembly lithography for halfpitch sub15 nm pattern fabrication process volume 1750 hironobu sato, yuriko seino, naoko kihara, yusuke kasahara, katsutoshi kobayashi, katsuyoshi kodera, hideki kanai, yoshiaki kawamonzen, shinya minegishi, ken miyagi, toshikatsu tobana, noriyuki hirayanagi, tomoharu fujiwara, tsukasa azuma, teruaki hayakawa. Chiral nanostructures have so far only been fabricated by topdown lithography or selfassembly of nanoparticles interacted with chiral molecules 5052, 63, and this study provides an effective alternative route to fabricate chiral nanostructures via directed selfassembly. Sequential infiltration synthesis sis significantly. The imaging layer is chemically grafted to the native oxide.

It covers the basic background of dsal technology, physical design optimizations such as placement. Directed assembly of micro and nanostructures wikipedia. The directed self assembly dsa and pattern transfer of poly5vinyl1,3benzodioxoleblockpentamethyldisilylstyrene pvbdbpdss is reported. We report a systematic study of the feasibility of using directed self assembly dsa in real product design for 7nm fin field effect transistor finfet technology. Directed self assembly lithography dsal is a highly promising patterning solution in sub7nm technology. Dsa combines top down and bottom up patterning to provide both sub10 nm nanostructures and controlled placement.

In the particular case of block copolymers, the thermodynamic driving forces for selfassembly are small, and lowenergy defects can get easily trapped. This project explores the possibility of using directed self assembly to attain longrange ordering of c96 fibers for use in post32 nm lithography. This feature can make synthesis rather complex because of the need to control many free parameters. Directed self assembly dsa is a promising technique for contactsvias patterning, where groups of contactsvias are patterned by guiding templates. Line edge roughness of directed self assembly pspmma. Directed selfassembly lithography for halfpitch sub15 nm. Via patterning in the 7nm node using immersion lithography and graphoepitaxy directed self assembly jan doise,a,b, joost bekaert, bboon teik chan, masafumi hori,c and roel gronheidb, aku leuven, department of electrical engineering esat, heverlee, belgium bimec, heverlee, belgium cjsr micro n. It is really difficult to make not only smaller patterns pitch of less than 30nm because of self assembling limit but also middle patterns pitch of more than 60nm because of material synthesis issues. Moreover, the guiding templates may be patterned using several masksexposures multiple patterning. Lithography precise, but expensive and difficult at small sizes self assembly cheap, atomicallyprecise at small sizes 50 nm. Directed self assembly dsa of block copolymers bcps combines advantages of conventional photolithography and polymeric materials and shows competence in semiconductors and data storage applications. Nanoscale spirals by directed selfassembly iopscience. Directed selfassembly of block copolymers for next.

Transient laser heating induced hierarchical porous. Directed self assembly of cylinderforming block copolymers with density multiplication instead of patterning every spots for 1. Directed selfassembly and pattern transfer of five nanometer. Typically, lithographicallydefined prepatterns with varying chemical contrast are used to rationally guide the assembly of block copolymers. Thermal scanning probe lithography for the directed self. The placement is determined by a template fabricated. Defect probability of directed selfassembly lithography. Directed selfassembly of polystyrenebpolypropylene. The directed self assembly dsa method of patterning for microelectronics uses polymer phaseseparation to generate features of less than 20nm, with the positions of self assembling materials externally guided into the desired pattern. The premise is that a single lithographic exposure may not be enough to provide sufficient resolution. As a complementary bottomup lithography approach, the dsa process, integrated with various traditional topdown lithography. Threedimensional nanofabrication by electronbeam lithography and directed self assembly by hyung wan do b. Directed self assembly dsa of nanoscale devices commonly combines self assembling materials such as block copolymers bcps with lithographically defined prepatterned surfaces. Reactive ion etching was used to selectively remove the pvbd block, and fingerprint patterns were subsequently transferred into.

Young abstractblock copolymer directed self assembly dsa is a promising technique to print contactsvias for the 10nm technology node and beyond. Simultaneous template optimization and mask assignment for directed self assembly lithography with multiple patterning jian kuang, junjie ye and evangeline f. Directed selfassembly and pattern transfer of five. Dsa has shown the capability for pitch reduction multiplication, hole shrinks, cd self healing as well as a pathway towards line edge roughness ler and pattern collapse improvement 14. It describes the current set of research requirements, which a dsa technology must satisfy to warrant insertion consideration, and summarizes the stateofthe art. Directed self assembly materials for semiconductor lithography. Design technology cooptimization assessment for directed. It is expected to be necessary for the 10 nm and 7 nm node semiconductor processes and beyond. Post jobs, find pros, and collaborate commission free in our professional.

Free energy of defects in chemoepitaxial block copolymer. Synthesis and directed selfassembly of modified psb. We illustrate a design technology cooptimization dtco methodology and two test cases applying both linespace type and viacut type dsa processes. In this paper, recent progress of directed self assembly materials and supporting materials are described. Keywords vlsi cad for dsa physical design for dsa dsafriendly vlsi design dsa. Directed self assembly is a costeffective alternative approach to euv lithography, which enables patterning of features below the resolution limit of optical lithography1. Directed selfassembly lithography for halfpitch sub15. Directed self assembly materials for semiconductor. Density multiplication and improved lithography by directed. In this work, we performed a systematic study on the effect of the geometry of prepatterned templates and spincoating conditions on the self assembling process of colloidal nanospheres. Modeling of block copolymer dry etching for directed self. Block copolymers bcps can phase separate to form periodic structures with small spacings, making bcps an attractive option for furthering the ability of optical lithography. One of technical issues of directed self assembly lithography is extremely narrow patterning range.

We directed the assembly of defect free arrays of isolated block copolymer domains at densities up to 1 terabit per square inch on. Directed self assembly of block copolymers is a scalable method to fabricate wellordered patterns over the wafer scale with feature sizes below the resolution of conventional lithography. Technological strategies for selfassembly of psbpdms in. Dsa of bcp is a viable bottomup technique that enables the fabrication of structure widths ranging from 5 to 50nm. Directed self assembly dsa of block copolymer bcp has recently drawn a great deal of interest from the lithography community due to its sub20nm lithographic resolution capability and molecularscale precision. Modeling of block copolymer dry etching for directed selfassembly lithography zelalem beletea, eberhard baerb, andreas erdmanna b afriedrichalexanderuniversity of erlangennuremberg, chair of electron devices, cauerstrasse 6, 91058 erlangen, germany bfraunhofer institute for integrated systems and device technology, schottkystrasse 10, 91058 erlangen, germany. The directed selfassembly dsa and pattern transfer of poly5vinyl1,3benzodioxoleblockpentamethyldisilylstyrene pvbdbpdss is reported. A simulation study on defectivity in directed selfassembly. Lamellaeforming pvbdbpdss can form well resolved 5 nm halfpitch features in thin films with high etch selectivity. Approach does not employ traditional resist based lithography to define the pattern, thereby reducing the processing steps and manufacturing costs.

766 600 1136 124 1373 1613 398 926 982 838 1020 960 407 312 1541 1103 1352 1613 434 1420 1172 1379 447 950 478 1316 28 565 217 695 748 833 776 964 1496 376 1317 200